Trace Signal Selection For Post Silicon Debug

Download Trace Signal Selection For Post Silicon Debug full books in PDF, epub, and Kindle. Read online free Trace Signal Selection For Post Silicon Debug ebook anywhere anytime directly on your device. Fast Download speed and no annoying ads. We cannot guarantee that every ebooks is available!

Trace Signal Selection for Post-silicon Debug

Trace Signal Selection for Post-silicon Debug
Author :
Publisher :
Total Pages : 112
Release :
ISBN-10 : OCLC:884441373
ISBN-13 :
Rating : 4/5 ( Downloads)

Book Synopsis Trace Signal Selection for Post-silicon Debug by :

Download or read book Trace Signal Selection for Post-silicon Debug written by and published by . This book was released on 2014 with total page 112 pages. Available in PDF, EPUB and Kindle. Book excerpt: Modern technology scaling enables integration of billions of transistors on the same chip. This increase in design complexity makes it difficult to comprehensively validate the design prior to mass production. The main challenge in post-silicon validation is the lack of observability to the internal signals of the manufactured chips. One way to increase this observability is by using Embedded Logic Analyzers (ELAs) which are widely adopted by the industry for the past few years. A core component inside an ELA are trace buffers, which record the signal values corresponding to a small subset of state elements in the design for a few thousand clock cycles. Due to the large area overhead of the trace buffers, only a small fraction of the state elements in the design can be traced online. The signal values of the traced state elements are then used to restore the values of the remaining not-traced state elements. The automated trace signal selection problem focuses on selection of the trace signals in order to maximize the restoration of the remaining state elements within an observation window. In this dissertation, we first propose a hybrid single-mode trace signal selection algorithm which achieves a good balance between solution quality and runtime-scalability. Next, we consider the impact of control signals in the restoration process using the values of the trace signals. We first propose an automated procedure to identify control signals; currently identification of control signals in a design is mostly done manually. However manual identification is not an easy task anymore because of increase in the number of control signals with increase in design complexity as well as automated insertion by CAD tools. We next introduce the trace signal selection problem in the presence of multiple operation modes which occur when control signals take different values. We show existing algorithms which are based on trace signal selection in a single operation mode achieve poor signal restoration over multiple operation modes. In contrast, our proposed algorithm considers restoration over all the operation modes and is therefore able to achieve much higher restoration over all the desired operation modes.


Trace Signal Selection for Post-silicon Debug Related Books